Preguntas etiquetadas con signal

Una señal es un flujo de información en forma de una cantidad variable. Por lo general, en electrónica, las señales pueden estar en forma de voltajes, corrientes o campos electromagnéticos radiados.




1
Simulando un banco de pruebas simple con un núcleo ROM sintetizado
Soy completamente nuevo en el mundo de los FPGA y pensé que comenzaría con un proyecto muy simple: un decodificador de 4 bits y 7 segmentos. La primera versión que escribí puramente en VHDL (es básicamente una combinación combinatoria select, no se necesitan relojes) y parece funcionar, pero también me …



2
¿Qué significa tener una señal compleja?
Me han dicho que las señales complejas son una "conveniencia de notación para hacer fácilmente dos señales ortogonales para que puedan ir en el mismo cable". ¿Es esto correcto / qué significa esto? ¿Existe un significado físico para las señales complejas? ¿Multiplicar por j es realmente una abreviatura para multiplicar …
8 signal 


3
Choques contra condensadores pequeños en cables de señal
Sé que muchos cables de señal (cables de la cámara USB a la computadora, etc.) tienen voluminosos cebadores de ferrita para evitar el ruido. ¿Por qué todos tienen ferritas en lugar de condensadores de cerámica? Las cerámicas pequeñas también eliminan el ruido de manera efectiva, y serían mucho más pequeñas …


5
Detecta señales eléctricas de plantas
Mi hija quiere hacer un experimento de feria de ciencias que implica leer las señales eléctricas en las plantas, pero el costo de un multivoltímetro con registro de datos es muy alto. ¿Alguien puede sugerir una configuración por menos de $ 100? ¿Tal vez no necesita una configuración tan complicada …

5
Generando una señal diferencial
Me dieron una tarea pequeña (en realidad es parte de una tarea mucho más grande) donde el requisito es generar una señal que parece provenir de un micrófono. Deben cumplirse los siguientes requisitos: 1.0Vpp Onda sinusoidal Diferencial Ahora bien, estas son en realidad dos preguntas: Entiendo que la señal debe …

2
Herramientas para el diseño / modelado de señales analógicas.
¿Cuáles son algunas buenas herramientas que simplificarán el proceso de diseño y modelado de señales analógicas? Actualmente estoy usando Mathematica para modelar el comportamiento de la señal, pero eso me obliga a definir un nuevo modelo para cada nueva funcionalidad (por ejemplo, un filtro de paso bajo). ¿Hay alguna herramienta …
8 design  signal 
Al usar nuestro sitio, usted reconoce que ha leído y comprende nuestra Política de Cookies y Política de Privacidad.
Licensed under cc by-sa 3.0 with attribution required.