Preguntas etiquetadas con verilog

Verilog es un lenguaje de descripción de hardware (HDL) utilizado para modelar sistemas electrónicos. Se usa más comúnmente en el diseño, verificación e implementación de chips lógicos digitales. También etiquete con [fpga], [asic] o [verificación] según corresponda. Las respuestas a muchas preguntas de Verilog son específicas del objetivo.

9
¿Proyectos novatos en un FPGA?
Bloqueado . Esta pregunta y sus respuestas están bloqueadas porque la pregunta está fuera de tema pero tiene un significado histórico. Actualmente no acepta nuevas respuestas o interacciones. Me faltan dos semanas para completar mi primer curso de diseño de lógica digital de la universidad, y aparentemente no habrá un …
11 fpga  design  vhdl  verilog 

3
¿Existe un "diseño de patrones" para RTL sintetizable?
Para el software, el libro Design Patterns es un conjunto de patrones para hacer cosas comunes en el software y brinda a los profesionales del software una terminología común para describir algunos de los componentes que necesitan crear. ¿Existe tal libro o recurso para RTL sintetizable o RTL en general? …

3
¿Cómo truncar un ancho de bits de expresión en Verilog?
Considere una expresión como: assign x = func(A) ^ func(B); donde la salida del func es de 32 bits de ancho y x es un cable de 16 bits. Quiero asignar solo los 16 bits más bajos del xor resultante. Sé que el código anterior ya lo hace, pero también …
11 verilog 



4
Usando ambos bordes de un reloj
Estoy programando un Altera Cyclone IV con Verilog y Quartus II. En mi diseño, me gustaría usar ambos bordes de un reloj para poder dividir el reloj por un factor impar con un ciclo de trabajo del 50%. Aquí hay un fragmento de mi código: always @(posedge low_jitter_clock_i or negedge …


3
Simuladores de VerilogA gratuitos [cerrado]
Cerrado. Esta pregunta está fuera de tema . Actualmente no está aceptando respuestas. ¿Quieres mejorar esta pregunta? Actualice la pregunta para que sea sobre el tema para el intercambio de pila de ingeniería eléctrica. Cerrado hace 5 años . Hay muchos simuladores gratuitos de SPICE y Verilog como LTSPICE o …

3
¿Herramientas genéricas de síntesis de Verilog gratuitas?
¿Hay alguna herramienta de síntesis gratuita o de código abierto disponible que pueda convertir Verilog RTL en una lista de acceso genérica? (compuesto de genéricos NAND, NOR, XOR, D-flops / registros, etc. No se requiere optimización). Si no fuera por el idioma completo, ¿qué tal un subconjunto "útil" de RTL …


2
PIC12F675 GP4 no funciona
Estoy usando un PIC12F675 para un proyecto, y todo funciona bien, excepto una cosa. GP4 no funciona como IO digital. He mirado mucho las configuraciones y el código, pero no pude encontrar nada. Config: #pragma config FOSC = INTRCCLK #pragma config WDTE = OFF #pragma config PWRTE = OFF #pragma …
9 pic  c  embedded  programming  audio  oscillator  spark  dc-dc-converter  boost  charge-pump  eagle  analog  battery-charging  failure  humidity  hard-drive  power-supply  battery-charging  charger  solar-energy  solar-charge-controller  pcb  eagle  arduino  voltage  power-supply  usb  charger  power-delivery  resistors  led-strip  series  usb  bootloader  transceiver  digital-logic  integrated-circuit  ram  transistors  led  raspberry-pi  driver  altium  usb  transceiver  piezoelectricity  adc  psoc  arduino  analog  pwm  raspberry-pi  converter  transformer  switch-mode-power-supply  power-electronics  dc-dc-converter  phase-shift  analog  comparator  phototransistor  safety  grounding  current  circuit-protection  rcd  batteries  current  battery-operated  power-consumption  power-electronics  bridge-rectifier  full-bridge  ethernet  resistance  mosfet  ltspice  mosfet-driver  ftdi  synchronous  fifo  microcontroller  avr  atmega  atmega328p  verilog  error  modelsim  power-supply  solar-cell  usb-pd  i2c  uart 

4
SystemC vs HDLs
Actualmente estoy involucrado en un proyecto universitario para implementar un procesador de un conjunto de instrucciones existente. La idea es que al final del proyecto pueda sintetizar este diseño y ejecutarlo en un FPGA. Todo va bien hasta ahora, comencé a implementar el diseño en Verilog HDL hace unos días …
9 verilog  vhdl  design  hdl  systemc 




Al usar nuestro sitio, usted reconoce que ha leído y comprende nuestra Política de Cookies y Política de Privacidad.
Licensed under cc by-sa 3.0 with attribution required.