Preguntas etiquetadas con papilio

4
FPGA: cuenta atrás o cuenta atrás?
Estoy aprendiendo a usar un FPGA (placa de desarrollo de Papilio, que tiene un xilinx spartan3e, usando vhdl). Necesito dividir un pulso entrante por un número (codificado). Puedo ver 3 opciones, aproximadamente, como pseudocódigo (usando 10 cuentas como ejemplo): Inicialice a 0, en el flanco ascendente de entrada aumente en …
11 fpga  vhdl  xilinx  papilio 
Al usar nuestro sitio, usted reconoce que ha leído y comprende nuestra Política de Cookies y Política de Privacidad.
Licensed under cc by-sa 3.0 with attribution required.