Preguntas etiquetadas con latch

9
¿Diferencia entre pestillo y flip-flop?
¿Cuál es la diferencia entre un pestillo y un flip-flop? Lo que estoy pensando es que un pestillo es equivalente a un flip-flop ya que se usa para almacenar bits y también es equivalente a un registro que también se usa para almacenar datos. Pero después de leer algunos artículos …

6
¿Qué es un flip flop?
Parece que hay varias definiciones diferentes de chanclas y cierres, algunas de las cuales son contradictorias. El libro de texto de Informática para el curso que enseño es probablemente el más confuso (de hecho, tengo poca fe en el libro porque es simplemente incorrecto en varios lugares). Me siento cómodo …

5
¿Por qué los pestillos inferidos son malos?
Mi compilador se queja de pestillos inferidos en mis bucles combinatorios ( always @(*), en Verilog). También me dijeron que los pestillos inferidos deberían evitarse preferiblemente. ¿Qué es exactamente lo que está mal con los pestillos inferidos? Ciertamente hacen que los lazos combinatorios sean más fáciles de escribir.
22 verilog  hdl  latch 

5
¿Por qué registramos chanclas?
Estoy tratando de entender chanclas y cierres. Estoy leyendo del libro Digital Logic de Morris Mano. Una cosa que no puedo entender es ¿por qué tenemos chanclas? Entiendo por qué necesitamos pestillos 'habilitados' o cerrados. ¿Pero de qué sirve el reloj? No puedo entender esto. ¿Por qué no podemos habilitar …

2
¿Entradas de inicio SR Latch (Flip Flop)?
Ok, esta es probablemente una pregunta básica, pero entiendo cómo funcionan los pestillos SR, excepto una cosa. En la entrada R y en la entrada S puedes hacerlos 0 o 1 ... pero ¿qué pasa con las líneas de entrada a su lado (que dependen de la salida de la …

Al usar nuestro sitio, usted reconoce que ha leído y comprende nuestra Política de Cookies y Política de Privacidad.
Licensed under cc by-sa 3.0 with attribution required.