¿Hay un simulador de VHDL?


Respuestas:


7

Hay una gran diferencia entre el IDE (entorno de desarrollo) y el simulador. El IDE puede venir sin un simulador incorporado, y el simulador puede venir sin una GUI.

Si está buscando un simulador , aquí hay una lista de simuladores de VHDL gratuitos con enlaces de descarga. La mayoría de los simuladores también funcionan en Linux.


2

'Altera Quartus 2'. . Eso es lo que estás buscando. . es tan poderoso


Sí, es útil, pero quiero un simulador gratuito.
Kesco

Altera envía una versión gratuita (gratuita) de ModelSim. Si quieres gratis (como en cerveza), básicamente estás atascado con GHDL.
Philippe

0

Históricamente, los ingenieros eléctricos estaban principalmente en casa en un entorno de Windows y también solían pagar mucho por su entorno de trabajo. Esto puede contribuir a una explicación de por qué no vemos más IDE de código abierto para el desarrollo de FPGA. Con el aumento de las capacidades de los FPGA y la tendencia a ejecutar Linux en ellos, esto también está afectando a las plataformas de desarrollo. Entonces, tenga muchas gracias por esta pregunta, que ayuda en su propia forma de ampliar la base de usuarios de FPGA Linux.

Para proyectos de tamaño normal, cuando su código se puede editar razonablemente con editores de texto normales, me siento tentado a decir que Debian / Ubuntu Linux en su conjunto ya es un entorno de desarrollo integrado completamente gratuito (como en discurso y cerveza): el canal de Yosys fue empaquetado para que realmente pueda obtener del código fuente de Verilog a un FPGA Lattice flasheado, vea http://www.debian.org/FPGA/Lattice . Hay un frontentivo VHDL naciente para yosys en https://github.com/forflo/yodl que me ofrezco voluntariamente para empaquetar si obtengo su ayuda para probarlo.

En una nota al margen, la canalización de yosys funciona en todas las archivos de Linux. Especialmente a tener en cuenta son ARM y todos los dispositivos pequeños como Raspberry Pi.

Al usar nuestro sitio, usted reconoce que ha leído y comprende nuestra Política de Cookies y Política de Privacidad.
Licensed under cc by-sa 3.0 with attribution required.