¿Kit de desarrollo FPGA para principiantes, Spartan6 o Spartan3?


15

Tengo la intención de comprar un FPGA, kit de desarrollo y he buscado en el sitio web de Xilinx y Digilent. Ambos parecen tener buenos kits de desarrollo.

Nunca antes había trabajado con FPGA, pero tengo algo de experiencia trabajando con microcontroladores.

Veo que los tableros Spartan 6 de nivel de entrada están a la par en términos de precio con los tableros Spartan 3A / AN. No he comparado las características.

Según su experiencia, ¿qué kit de desarrollo sugeriría Spartan3A / AN o Spartan6?


Yo mismo compré dos kits, un espartano II (más antiguo) y recientemente un espartano 6. Lo más ligero que puedo arrojarle es hacerse algunas preguntas clave. 1.) ¿Qué quieres hacer con el kit? (¿Desea encenderlo y encender algunos LED? Esto es lo que hacen la mayoría de los ingenieros que trabajan porque no tienen tiempo IMO). 2.) ¿Planea aplicarlo a una tarea específica? (¿Quieres incluirlo en tu currículum?) 3.) ¿Quieres lo último y lo mejor o quieres aprender algo más en la práctica? No es que tenga todos los datos del mundo real con respecto a la espartana 3/6, pero en general el ol

Respuestas:


11

Me parece que todavía tienes mucho más para jugar a un precio más bajo con Spartan-3. Encontré tres opciones diferentes de Spartan-6:

  1. Kit de evaluación Avnet Spartan-6 LX16, $ 225
  2. Kit de evaluación Spartan-6 SP601, $ 249 (oferta por tiempo limitado)
  3. Digilent Atlys, \ $ 199 académicos o $ 349

Es de destacar aquí que solo el Atlys tiene muchos conectores de E / S comunes integrados, como audio, video y teclado. El kit LX16 tiene la mayoría de los detalles interesantes en un Cypress PSoC, aunque presenta una batería que puede ser interesante.

Se reduce a cuáles son sus proyectos previstos. Con las placas Spartan-6 de bajo presupuesto, obtienes un conector FMC-LPC al que puedes unir tus propias construcciones; el kit LX16 también tiene un encabezado de clavija, que es más fácil de obtener conectores.

Con los kits Spartan-3, como el que tengo, tenemos un conjunto bastante variado de conexiones de calidad más limitada, y para una gran expansión está el conector Hirose FX2 (nuevamente, algo inusual).

Si su plan es hacer procesamiento de video, estaría muy tentado de ahorrar el dinero extra para el Atlys. No tiene muchos pines de expansión, pero tiene múltiples puertos HDMI integrados.


Sí, tengo la intención de hacer procesamiento de imagen / video y el Digilent Atlys parece tentador aquí, el Atlys también tiene un FPGA LX45 más fuerte frente al LX16 en el Avnet Spartan o el SP601. Teniendo en cuenta que nunca antes había trabajado en FPGA, ¿sería diferente comenzar con un Spartan6?
Kevin Boyd el

1
No, las herramientas de desarrollo son las mismas. Sin embargo, puede encontrar algunos ejemplos que no se traducen directamente a la placa, como la generación VGA o la síntesis de audio directa, ya que Atlys usa video digital y un chip de códec de audio.
Yann Vernier

¿Qué elección hubieras hecho? ¿Sería Spartan6 o Spartan3?
Kevin Boyd el

¿No entiendo que el Atlys no tiene muchos pines de expansión? (Como no he trabajado con kits de desarrollo FPGA antes). En general, cuando compro un kit de desarrollo de microcontrolador, todos los pines de E / S se compran en encabezados de terminal para su uso. ¿No es este el caso con los kits de desarrollo FPGA?
Kevin Boyd el

El Atlys tiene pines de expansión, pero 40 de ellos están en un conector inusual que no es muy amigable para los aficionados. Para el kit de inicio Spartan 3, al menos puede obtener los complementos de la placa de laboratorio FX2. En comparación, los kits Avnet y Altera ofrecen muchos encabezados de pin de 0.1 ". Muchos pines no se sacan, sino que se usan para periféricos integrados como RAM, interruptores y LED. Una MCU puede tener entre 8 y 128 pines; su FPGA típica en un devboard tiene 484. En cuanto a lo que elegiría, depende de a qué apunte. Iría con Atlys para el manejo de video HDMI, pero solo con la confianza de que puedo aprender ese protocolo.
Yann Vernier

5

Si nunca antes ha trabajado en FPGA, ¿ha considerado Altera FPGA? Terasic hace algunos excelentes con buenos materiales de referencia. Puedes visitar www.terasic.com. También escuché que el entorno Quartus de Altera es mucho más fácil de usar que el de Xilinx.

  1. presupuesto -> Altera DE1
  2. sugerido -> Altera DE2 o DE2-115 (porque hay MUCHOS recursos en línea en los sitios web de las universidades que incluyen sus códigos fuente)

Además, el programa universitario Altera es un gran lugar para tutoriales para principiantes. Comienzan desde el comienzo de los programas tipo "Hola Mundo".


Buen consejo; Las tablas DE0 y DE1 se ven geniales para empezar. Todavía no he puesto a Quartus en funcionamiento, pero imagina que no es tan difícil.
Yann Vernier

Debo admitir que no le he pensado a Altera. ¿El Altera DE2 / DE2-115 tiene características y rendimiento equivalentes de un Spartan6? ¿Podría por favor explicar el aspecto amigable para el usuario de Quartus? Me gustaría entrar en detalles antes de tomar una decisión. Gracias por la sugerencia sin embargo.
Kevin Boyd el

¡Descargue y evalúe el software! El paso más importante con cualquiera de los kits es que obtenga las herramientas para trabajar en su propio sistema, y ​​cada uno tiene peculiaridades que pueden aplicarse o no en su caso.
Yann Vernier

¿Qué serie de Altera está en líneas similares a Spartan6 es Stratix, Arria o Cyclone?
Kevin Boyd el

Estoy de acuerdo con Yann, es muy personal. Me gustan más las herramientas Xilinx, pero las opiniones varían enormemente y son bastante fuertes. Simplemente descargue ambos conjuntos de herramientas (¡son gratuitos!) E implemente un diseño básico de VHDL / Verilog y simúlelo. Debería darte una buena idea de a qué te enfrentas.
drxzcl

4

Recomiendo encarecidamente ir primero con una placa CPLD (algo como esto ), o un Igloo Nano basado en flash Actel, o algo pequeño como eso. Los grandes FPGA pueden ser abrumadores, y tienen tantos pines que lleva bastante tiempo conectar las cosas correctamente. Además, tan pronto como desee integrar uno en su diseño, se dará cuenta de que vienen en paquetes muy grandes, con docenas de pines de alimentación. La mayoría de ellos requieren varios voltajes para operar, sin mencionar que la mayoría de los FPGA están basados ​​en SRAM y no en flash, por lo que tan pronto como desconecte la alimentación, perderán su diseño. Por lo tanto, debe tener al menos un chip Active Serial Flash Memory conectado, pero muchas personas usan CPLD o microcontroladores para cargar diseños en el FPGA.

Todo es muy abrumador. ¡Los CPLD, por otro lado, son geniales! Por lo general, funcionan con un solo suministro, y si desea cumplir con 5V, aún puede comprar chips Altera MAX 7000 más antiguos. Además, tienen memoria flash incorporada, por lo que no necesitan otros componentes para arrancarlos. Y los CPLD funcionan más o menos de la misma manera que los FPGA, por lo que debe programarlos escribiendo VHDL / Verilog o utilizando un editor de esquemas. Mismo jazz sobre el reloj (¡recuerde usar OSCILADORES de cristal, no cristales!), Y la misma forma de programación sobre JTAG. Los CPLD tienen muchos menos elementos lógicos que los FPGA, por lo que no puede utilizar procesadores blandos ni hacer nada demasiado loco. Pero si solo está comenzando, definitivamente son el camino a seguir, y cuestan un par de dólares cada uno y vienen en paquetes lo suficientemente grandes que se pueden soldar a mano,

Otra opción son los FPGA de gama baja basados ​​en Flash creados por Actel. Recientemente he estado jugando con el kit de inicio Igloo Nano, que cuesta alrededor de $ 100. Estos dispositivos son lo suficientemente grandes como para caber en un núcleo 8051 junto con cierta lógica digital personalizada, por lo que son una excelente opción cuando se mezclan estados de flujo de programa con lógica personalizada.


Gracias por la gran respuesta. Ya compré un Digilent ATLYS, por lo que todavía no me he preocupado por los voltajes / soldaduras. Revisaré los CPLD y veré si es bueno. "Que tienen un tamaño horrible ..."? ¿Asumo que te quedaste sin personajes aquí?
Kevin Boyd el

3

S3 ha existido por un tiempo, por lo que probablemente encontrará más opciones, y las más baratas como tablas usadas pueden ser una opción. Si lo está haciendo con miras a hacer un producto en el futuro previsible, quédese con S3 hasta que Xilinx actúe en conjunto sobre la disponibilidad de piezas más nuevas. También escuché que el software ISE se está volviendo más inestable en versiones posteriores, por lo que con S3 puede usar uno más antiguo. Versión más estable.


1

He usado un Spartan 3 en la universidad, y la placa tenía una gran variedad de conectores (de esa edad): PS2, VGA, DB9 y los clásicos pinheaders, además de algunos leds, pantallas de 7 segmentos, botones e interruptores. Eso fue más que suficiente para mí.

PD: el simulador era TAN enorme que era mejor "compilar" las puertas y probarlas a bordo.


Gracias por compartir su experiencia, cualquier comparación con el Spartan6 sería útil.
Kevin Boyd el

Todavía no lo he usado, pero compara las fuentes de reloj, el número de pines IO, el número de puertas (?) Y los registros. Para las placas, verifique los periféricos: hoy en día, USB, DVI, VGA, el antiguo DB9, Ethernet y quizás algunos DAC / ADC son deseables.
JPWack

0

No estoy seguro acerca de las placas Digilent, pero las placas Altera tienen documentación completa, así como demostraciones de código para cada periférico. Es bastante fácil comenzar.


0

Algo que vale la pena considerar es la gama de tableros ofrecidos por Opal Kelly. No hay mucha diferencia de precio entre sus tableros Spartan 3 y Spartan 6 de nivel de entrada.

La gran ventaja que encontramos con ellos es el soporte USB a bordo con bloques HDL asociados para el FPGA y el código de biblioteca para su computadora que lo hace muy fácil de usar.

http://www.opalkelly.com/products/


0

Si desea hacer algunos paneles y conectar sus propios dispositivos de E / S (LED, 7 segs, botones / interruptores, etc.), mientras resuelve las cosas por sí mismo (no viene con documentos) puede obtener un ' mini placa FPGA de barebones en eBay por alrededor de $ 50.

Recogí uno de esos y he estado razonablemente feliz con él. Probablemente todavía termine obteniendo una placa con más funciones o haga mi propia algún día, pero por ahora esta 'mini placa' está bien para fines de aprendizaje.

Al usar nuestro sitio, usted reconoce que ha leído y comprende nuestra Política de Cookies y Política de Privacidad.
Licensed under cc by-sa 3.0 with attribution required.